From 378cce57f387d42aa7bf90d28fb3b1f5559248b2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Thu, 23 Aug 2018 16:00:43 +0200 Subject: Adding top level defines for setting size and address bits. --- src/tb/tb_keywrap.v | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) (limited to 'src/tb/tb_keywrap.v') diff --git a/src/tb/tb_keywrap.v b/src/tb/tb_keywrap.v index 412bdb2..c53790b 100644 --- a/src/tb/tb_keywrap.v +++ b/src/tb/tb_keywrap.v @@ -80,6 +80,7 @@ module tb_keywrap(); localparam ADDR_R_DATA0 = 8'h80; localparam ADDR_R_DATA127 = 8'hff; + localparam DUT_ASPACE = 12; //---------------------------------------------------------------- // Register and Wire declarations. @@ -95,7 +96,7 @@ module tb_keywrap(); reg tb_reset_n; reg tb_cs; reg tb_we; - reg [7 : 0] tb_address; + reg [(DUT_ASPACE - 1) : 0] tb_address; reg [31 : 0] tb_write_data; wire [31 : 0] tb_read_data; wire tb_error; -- cgit v1.2.3