aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@secworks.se>2018-07-05 14:57:06 +0200
committerJoachim StroĢˆmbergson <joachim@secworks.se>2018-07-05 14:57:06 +0200
commit243474ba221fede6574bf49e4f384b4623825eee (patch)
tree25594cdca62b1472312f259b368e9364b5a9f3c2
parent3bd8c3e1ca7d1aca7f96b27e157530f45a90d867 (diff)
Adding unwrap test case.
-rw-r--r--src/tb/tb_keywrap.v26
1 files changed, 13 insertions, 13 deletions
diff --git a/src/tb/tb_keywrap.v b/src/tb/tb_keywrap.v
index d2ea8d8..b77a48c 100644
--- a/src/tb/tb_keywrap.v
+++ b/src/tb/tb_keywrap.v
@@ -454,12 +454,12 @@ module tb_keywrap();
$display("** TC kwp_ad_128_1 START.");
- // Write key and keylength, we also want to encrypt/wrap.
+ // Write key and keylength, we also want to decrypt/unwrap.
write_word(ADDR_KEY0, 32'hc03db3cc);
write_word(ADDR_KEY1, 32'h1416dcd1);
write_word(ADDR_KEY2, 32'hc069a195);
write_word(ADDR_KEY3, 32'ha8d77e3d);
- write_word(ADDR_CONFIG, 32'h00000001);
+ write_word(ADDR_CONFIG, 32'h00000000);
// Initialize the AES engine (to expand the key).
@@ -478,18 +478,18 @@ module tb_keywrap();
write_word(ADDR_RLEN, 32'h00000004);
write_word(ADDR_R_BANK, 32'h0);
- write_word(ADDR_R_DATA0 + 0, 32'h46f87f58);
- write_word(ADDR_R_DATA0 + 1, 32'hcdda4200);
- write_word(ADDR_R_DATA0 + 2, 32'hf53d99ce);
- write_word(ADDR_R_DATA0 + 3, 32'h2e49bdb7);
- write_word(ADDR_R_DATA0 + 4, 32'h6212511f);
- write_word(ADDR_R_DATA0 + 5, 32'he0cd4d0b);
- write_word(ADDR_R_DATA0 + 6, 32'h5f37a27d);
- write_word(ADDR_R_DATA0 + 7, 32'h45a28800);
+ write_word(ADDR_R_DATA0 + 0, 32'h59a69492);
+ write_word(ADDR_R_DATA0 + 1, 32'hbb7e2cd0);
+ write_word(ADDR_R_DATA0 + 2, 32'h0160d2eb);
+ write_word(ADDR_R_DATA0 + 3, 32'hef9bf4d4);
+ write_word(ADDR_R_DATA0 + 4, 32'heb16fbf7);
+ write_word(ADDR_R_DATA0 + 5, 32'h98f1340f);
+ write_word(ADDR_R_DATA0 + 6, 32'h6df6558a);
+ write_word(ADDR_R_DATA0 + 7, 32'h4fb84cd0);
// Write magic words to A.
- write_word(ADDR_A0, 32'ha65959a6);
- write_word(ADDR_A1, 32'h0000001f);
+ write_word(ADDR_A0, 32'h57e3b669);
+ write_word(ADDR_A1, 32'h9c6e8177);
$display("* Contents of memory and dut before wrap processing:");
@@ -745,7 +745,7 @@ module tb_keywrap();
dump_dut_state();
test_kwp_ae_128_1();
- test_kwp_ad_128_1();
+// test_kwp_ad_128_1();
// test_kwp_ae_128_2();
display_test_results();