From c9fb945818d8a71fe8c9d1eb09afacaffbf56374 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Mon, 11 Feb 2019 19:57:00 +0100 Subject: Adding pinmap for the iCEstick. --- src/config/pinmap_icestick.pcf | 26 ++++++++++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 src/config/pinmap_icestick.pcf diff --git a/src/config/pinmap_icestick.pcf b/src/config/pinmap_icestick.pcf new file mode 100644 index 0000000..f395e1e --- /dev/null +++ b/src/config/pinmap_icestick.pcf @@ -0,0 +1,26 @@ +# Pimap for Icestick +set_io --warn-no-port clk_in 21 +set_io --warn-no-port j1_3 112 +set_io --warn-no-port j1_4 113 +set_io --warn-no-port j1_5 114 +set_io --warn-no-port j1_6 115 +set_io --warn-no-port j1_7 116 +set_io --warn-no-port j1_8 117 +set_io --warn-no-port j1_9 118 +set_io --warn-no-port j1_10 119 +set_io --warn-no-port j3_3 62 +set_io --warn-no-port j3_4 61 +set_io --warn-no-port j3_5 60 +set_io --warn-no-port j3_6 56 +set_io --warn-no-port j3_7 48 +set_io --warn-no-port j3_8 47 +set_io --warn-no-port j3_9 45 +set_io --warn-no-port j3_10 44 +set_io --warn-no-port gled5 95 +set_io --warn-no-port rled1 99 +set_io --warn-no-port rled2 98 +set_io --warn-no-port rled3 97 +set_io --warn-no-port rled4 96 +set_io --warn-no-port ir_rx 106 +set_io --warn-no-port ir_tx 105 +set_io --warn-no-port ir_sd 107 -- cgit v1.2.3