From 21ce3254a3a74143c0881623e61297afe53a81c4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Mon, 11 Feb 2019 20:01:58 +0100 Subject: Adding initial version of top. --- src/rtl/top.v | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 src/rtl/top.v diff --git a/src/rtl/top.v b/src/rtl/top.v new file mode 100644 index 0000000..58daeb2 --- /dev/null +++ b/src/rtl/top.v @@ -0,0 +1,23 @@ +module top ( + input wire clk_in, + output wire rled1, + output wire rled2, + output wire rled3, + output wire rled4, + output wire gled5 + ); + + reg [31 : 0] counter_reg = 32'b0; + + assign rled1 = counter[21]; + assign rled2 = counter[22]; + assign rled3 = counter[23]; + assign rled4 = counter[24]; + assign gled5 = counter[25]; + + always @ (posedge hwclk) + begin + counter_reg <= counter_reg + 1; + end + +endmodule // top -- cgit v1.2.3