aboutsummaryrefslogblamecommitdiff
path: root/utils/cores.c
blob: 18e994df77a602f5f29da301bbabbb43327279ba (plain) (tree)









































                                                                           
                              


                                

                                
 

                                                                                      
                                                                                             



             
/*
 * cores.c
 * -------
 * Report which cores are present on the FPGA.
 *
 * Copyright (c) 2015, NORDUnet A/S All rights reserved.
 *
 * Redistribution and use in source and binary forms, with or without
 * modification, are permitted provided that the following conditions are
 * met:
 * - Redistributions of source code must retain the above copyright notice,
 *   this list of conditions and the following disclaimer.
 *
 * - Redistributions in binary form must reproduce the above copyright
 *   notice, this list of conditions and the following disclaimer in the
 *   documentation and/or other materials provided with the distribution.
 *
 * - Neither the name of the NORDUnet nor the names of its contributors may
 *   be used to endorse or promote products derived from this software
 *   without specific prior written permission.
 *
 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
 * IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
 * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
 * PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
 * HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
 * TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
 * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
 * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
 * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
 * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 */

#include <stdio.h>
#include <stdint.h>
#include <string.h>
#include <errno.h>

#include <sys/time.h>

#include <hal.h>
#include <verilog_constants.h>

int main(int argc, char *argv[])
{
    const hal_core_t *core;
    const hal_core_info_t *info;

    for (core = hal_core_iterate(NULL); core != NULL; core = hal_core_iterate(core)) {
	info = hal_core_info(core);
	printf("%08lx: %8.8s %4.4s\n", (unsigned long)info->base, info->name, info->version);
    }

    return 0;
}
ckground-color: #fff0f0 } /* Literal.String.Single */ .highlight .ss { color: #aa6600; background-color: #fff0f0 } /* Literal.String.Symbol */ .highlight .bp { color: #003388 } /* Name.Builtin.Pseudo */ .highlight .fm { color: #0066bb; font-weight: bold } /* Name.Function.Magic */ .highlight .vc { color: #336699 } /* Name.Variable.Class */ .highlight .vg { color: #dd7700 } /* Name.Variable.Global */ .highlight .vi { color: #3333bb } /* Name.Variable.Instance */ .highlight .vm { color: #336699 } /* Name.Variable.Magic */ .highlight .il { color: #0000DD; font-weight: bold } /* Literal.Number.Integer.Long */
module modexpng_tdp_36k_x16_x32_wrapper_generic
(
    clk, clk_bus,
    
    ena, wea,
    addra, dina, douta,
    
    enb, regceb,
    addrb, doutb
);


    //
    // Headers
    //
    `include "modexpng_parameters.vh"


    //
    // Ports
    //
    input                                     clk;
    input                                     clk_bus;
    
    input                                     ena;
    input                                     wea;
    input  [BANK_ADDR_W + BUS_OP_ADDR_W -1:0] addra;
    input  [              BUS_DATA_W    -1:0] dina;
    output [              BUS_DATA_W    -1:0] douta;
    
    input                                     enb;
    input                                     regceb;
    input  [BANK_ADDR_W + OP_ADDR_W     -1:0] addrb;
    output [              WORD_W        -1:0] doutb;

    
    //
    // Memory
    //
    reg [BUS_DATA_W -1:0] mem[0:2**(BANK_ADDR_W+BUS_OP_ADDR_W)-1];
   
    //
    // Read-Write Port
    //
    reg [BUS_DATA_W -1:0] douta_reg;
    
    assign douta = douta_reg;
    
    always @(posedge clk_bus)
        //
        if (ena) begin
            if (wea) mem[addra] <= dina;
            douta_reg <= mem[addra];
        end
            
    //
    // Read Port
    //
    reg [WORD_W -1:0] doutb_reg1;
    reg [WORD_W -1:0] doutb_reg2;
    
    assign doutb = doutb_reg2;
    
    wire [BUS_DATA_W -1:0] mem_addrb = mem[addrb[BANK_ADDR_W + OP_ADDR_W -1:1]];
    
    wire [    WORD_W -1:0] mem_addrb_msb = mem_addrb[ BUS_DATA_W -1:WORD_W];
    wire [    WORD_W -1:0] mem_addrb_lsb = mem_addrb[     WORD_W -1:     0];
    
    always @(posedge clk)
        //
        if (enb)
            doutb_reg1 <= addrb[0] ? mem_addrb_msb : mem_addrb_lsb;
            
    always @(posedge clk)
        //
        if (regceb)
            doutb_reg2 <= doutb_reg1;
/*    
    //
    // BRAM_TDP_MACRO
    //
    BRAM_TDP_MACRO #
    (
        .DEVICE                 ("7SERIES"),
        .BRAM_SIZE              ("36Kb"),

        .WRITE_WIDTH_A          (BUS_DATA_W),
        .READ_WIDTH_A           (BUS_DATA_W),

        .WRITE_WIDTH_B          (WORD_W),
        .READ_WIDTH_B           (WORD_W),

        .DOA_REG                (0),
        .DOB_REG                (1),

        .WRITE_MODE_A           ("READ_FIRST"),
        .WRITE_MODE_B           ("READ_FIRST"),

        .SRVAL_A                (36'h000000000),
        .SRVAL_B                (36'h000000000),

        .INIT_A                 (36'h000000000),
        .INIT_B                 (36'h000000000),
        
        .INIT_FILE              ("NONE"),
        .SIM_COLLISION_CHECK    ("NONE")
   )
   BRAM_TDP_MACRO_inst
   (
      .RSTA     (1'b0),
      .RSTB     (1'b0),

      .CLKA     (clk_bus),
      .ENA      (ena),
      .REGCEA   (1'b0),
      .WEA      ({4{wea}}),
      .ADDRA    (addra),
      .DIA      (dina),
      .DOA      (douta),

      .CLKB     (clk),
      .ENB      (enb),
      .REGCEB   (regceb),
      .WEB      ({2{1'b0}}),
      .ADDRB    (addrb),
      .DIB      ({WORD_W{1'b0}}),
      .DOB      (doutb)
   );
   */
    
endmodule