//====================================================================== // // tb_keywrap_mkm.v // ---------------- // Testbench for the mkmif wrapper in keywrap. // // // Author: Joachim Strombergson // Copyright (c) 2018, NORDUnet A/S // All rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // - Redistributions of source code must retain the above copyright notice, // this list of conditions and the following disclaimer. // // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in the // documentation and/or other materials provided with the distribution. // // - Neither the name of the NORDUnet nor the names of its contributors may // be used to endorse or promote products derived from this software // without specific prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS // IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED // TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT // HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, // SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED // TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR // PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF // LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING // NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS // SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // //====================================================================== module tb_keywrap_mkm(); //---------------------------------------------------------------- // Parameters. //---------------------------------------------------------------- parameter DEBUG = 1; parameter CLK_HALF_PERIOD = 1; parameter CLK_PERIOD = 2 * CLK_HALF_PERIOD; //---------------------------------------------------------------- // Variables, regs and wires. //---------------------------------------------------------------- integer cycle_ctr; integer error_ctr; integer tc_ctr; reg tb_clk; reg tb_reset_n; wire tb_mkm_spi_sclk; wire tb_mkm_spi_cs_n; reg tb_mkm_spi_do; wire tb_mkm_spi_di; reg tb_init; reg tb_read; reg tb_write; reg tb_key_status; wire tb_ready; reg [31 : 0] tb_wr_status; wire [31 : 0] tb_rd_status; reg [255 : 0] tb_wr_key; wire [255 : 0] tb_rd_key; //---------------------------------------------------------------- // Device Under Test. //---------------------------------------------------------------- keywrap_mkmif dut( .clk(tb_clk), .reset_n(tb_reset_n), .mkm_spi_sclk(tb_mkm_spi_sclk), .mkm_spi_cs_n(tb_mkm_spi_cs_n), .mkm_spi_do(tb_mkm_spi_do), .mkm_spi_di(tb_mkm_spi_di), .init(tb_init), .read(tb_read), .write(tb_write), .key_status(tb_key_status), .ready(tb_ready), .wr_status(tb_wr_status), .rd_status(tb_rd_status), .wr_key(tb_wr_key), .rd_key(tb_rd_key) ); //---------------------------------------------------------------- // clk_gen // // Always running clock generator process. //---------------------------------------------------------------- always begin : clk_gen #CLK_HALF_PERIOD; tb_clk = !tb_clk; end // clk_gen //---------------------------------------------------------------- // sys_monitor() // // An always running process that creates a cycle counter and // conditionally displays information about the DUT. //---------------------------------------------------------------- always begin : sys_monitor cycle_ctr = cycle_ctr + 1; #(CLK_PERIOD); end //---------------------------------------------------------------- // init_sim() // // Initialize all counters and testbed functionality as well // as setting the DUT inputs to defined values. //---------------------------------------------------------------- task init_sim; begin cycle_ctr = 0; error_ctr = 0; tc_ctr = 0; tb_clk = 1'h0; tb_reset_n = 1'h1; tb_mkm_spi_do = 1'h0; tb_init = 1'h0; tb_read = 1'h0; tb_write = 1'h0; tb_key_status = 1'h0; tb_wr_status = 32'h0; tb_wr_key = 256'h0; #(CLK_PERIOD); end endtask // init_sim //---------------------------------------------------------------- // main //---------------------------------------------------------------- initial begin : main $display(" -= Testbench for Keywrap mkmif wrapper started =-"); $display(" ================================================"); $display(""); init_sim(); $display(""); $display("*** Keywrap mkmif wrapper testbench done. ***"); $finish; end // main endmodule // tb_keywrap_mkm //====================================================================== // EOF tb_keywrap_mkm.v //======================================================================