From 3455dd0940bb09e2f08c7951d3b10f415251af80 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Fri, 11 Jan 2019 15:11:46 +0100 Subject: Fixed parameterized widths. --- src/rtl/keywrap_core.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'src/rtl/keywrap_core.v') diff --git a/src/rtl/keywrap_core.v b/src/rtl/keywrap_core.v index 3da4a19..adb3099 100644 --- a/src/rtl/keywrap_core.v +++ b/src/rtl/keywrap_core.v @@ -285,7 +285,7 @@ module keywrap_core #(parameter MEM_BITS = 11) core_addr = block_ctr_reg; core_we = 1'h0; - xor_val = (rlen * iteration_ctr_reg) + {51'h0, (block_ctr_reg + 1'h1)}; + xor_val = (rlen * iteration_ctr_reg) + {53'h0, (block_ctr_reg + 1'h1)}; if (encdec) aes_block = {a_reg, core_rd_data}; -- cgit v1.2.3