aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@secworks.se>2015-07-18 10:31:03 +0200
committerJoachim StroĢˆmbergson <joachim@secworks.se>2015-07-18 10:31:03 +0200
commit1f1b1bc2781ad4f18176de8ff372db44cfc9cd44 (patch)
tree2010ec89620b270f5b53abfd706e248a3b88c2b2
parentac7c4871aef365a9d6c5511ab8fcb0aed15f60c1 (diff)
Writing a new word into the fifo.
-rw-r--r--src/tb/tb_csprng_fifo.v15
1 files changed, 11 insertions, 4 deletions
diff --git a/src/tb/tb_csprng_fifo.v b/src/tb/tb_csprng_fifo.v
index a1bf73c..aedcbbe 100644
--- a/src/tb/tb_csprng_fifo.v
+++ b/src/tb/tb_csprng_fifo.v
@@ -360,8 +360,8 @@ module tb_csprng_fifo();
// words all the time.
//----------------------------------------------------------------
task fifo_test();
- reg [7 : 0] i;
- reg [7 : 0] j;
+ reg [7 : 0] i;
+ reg [7 : 0] j;
begin
$display("*** Test of FIFO by loading known data and then reading out.");
@@ -392,11 +392,18 @@ module tb_csprng_fifo();
dump_fifo();
// Write another 512-bit word into the fifo.
- i = 8'd4;
+ write_w512(8'h40);
+ // Read out all of the rest of the data.
+ for (j = 0 ; j < 200 ; j = j + 1)
+ begin
+ read_w32();
+ end
+
+ dump_fifo();
end
- endtask // init_sim
+ endtask // fifo_test
//----------------------------------------------------------------