create_clock -period 22.222 -name clk_fmc -waveform {0.000 11.111} [get_ports fmc_clk]