aboutsummaryrefslogtreecommitdiff
path: root/xdc/alpha_fmc_clocks.xdc
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2020-01-23 11:57:57 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2020-01-23 11:57:57 +0300
commitc85809c98cdba10afe45a959bc9da2a4089cff82 (patch)
treef6ef82c60841416251bbf2cef6981b8130a9097e /xdc/alpha_fmc_clocks.xdc
parent35359243a63cac4a9e8cce6bd718f17756ce8a98 (diff)
Out of curiosity I tried compiling the bitstream with Vivado. These constraintsfmc_clk_core
may come handy if you're brave enough to try this at home.
Diffstat (limited to 'xdc/alpha_fmc_clocks.xdc')
-rw-r--r--xdc/alpha_fmc_clocks.xdc1
1 files changed, 1 insertions, 0 deletions
diff --git a/xdc/alpha_fmc_clocks.xdc b/xdc/alpha_fmc_clocks.xdc
new file mode 100644
index 0000000..4184ff4
--- /dev/null
+++ b/xdc/alpha_fmc_clocks.xdc
@@ -0,0 +1 @@
+create_clock -period 22.222 -name clk_fmc -waveform {0.000 11.111} [get_ports fmc_clk]