From b6f5d96cd730f243f76115e972faf295346bdc06 Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Wed, 19 Dec 2018 15:43:34 +0300 Subject: Minor cleanup. --- bench/tb_base_point_multiplier.v | 4 ---- bench/tb_modular_multiplier.v | 4 ---- rtl/ed25519_core_top.v | 2 -- rtl/ed25519_operand_bank.v | 2 -- 4 files changed, 12 deletions(-) diff --git a/bench/tb_base_point_multiplier.v b/bench/tb_base_point_multiplier.v index 0f6bf15..6c1727b 100644 --- a/bench/tb_base_point_multiplier.v +++ b/bench/tb_base_point_multiplier.v @@ -36,10 +36,6 @@ // //------------------------------------------------------------------------------ -//------------------------------------------------------------------------------ -`timescale 1ns / 1ps -//------------------------------------------------------------------------------ - module tb_base_point_multiplier; diff --git a/bench/tb_modular_multiplier.v b/bench/tb_modular_multiplier.v index 8a29088..77a04c9 100644 --- a/bench/tb_modular_multiplier.v +++ b/bench/tb_modular_multiplier.v @@ -36,10 +36,6 @@ // //------------------------------------------------------------------------------ -//------------------------------------------------------------------------------ -`timescale 1ns / 1ps -//------------------------------------------------------------------------------ - module tb_modular_multiplier; diff --git a/rtl/ed25519_core_top.v b/rtl/ed25519_core_top.v index 6bd1c41..90231e6 100644 --- a/rtl/ed25519_core_top.v +++ b/rtl/ed25519_core_top.v @@ -30,8 +30,6 @@ // //====================================================================== -`timescale 1ns / 1ps - module ed25519_core_top ( input wire clk, diff --git a/rtl/ed25519_operand_bank.v b/rtl/ed25519_operand_bank.v index 21ebbfc..c380ca5 100644 --- a/rtl/ed25519_operand_bank.v +++ b/rtl/ed25519_operand_bank.v @@ -30,8 +30,6 @@ // //====================================================================== -`timescale 1ns / 1ps - module ed25519_operand_bank ( input clk, -- cgit v1.2.3