aboutsummaryrefslogtreecommitdiff
path: root/rtl/ecdhp384.v
blob: d0251befea9cf5c5be1c31d1f5f7971ce1d66bd1 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
//======================================================================
//
// Copyright (c) 2018, NORDUnet A/S All rights reserved.
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions
// are met:
// - Redistributions of source code must retain the above copyright
//   notice, this list of conditions and the following disclaimer.
//
// - Redistributions in binary form must reproduce the above copyright
//   notice, this list of conditions and the following disclaimer in the
//   documentation and/or other materials provided with the distribution.
//
// - Neither the name of the NORDUnet nor the names of its contributors may
//   be used to endorse or promote products derived from this software
//   without specific prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================

`timescale 1ns / 1ps

module ecdhp384
  (
   input wire 	      clk,
   input wire 	      rst_n,

   input wire 	      next,
   output wire 	      valid,

   input wire 	      bus_cs,
   input wire 	      bus_we,
   input wire [ 6:0]  bus_addr,
   input wire [31:0]  bus_data_wr,
   output wire [31:0] bus_data_rd
   );


   //
   // Memory Banks
   //
   localparam [2:0] BUS_ADDR_BANK_K			= 3'd0;
   localparam [2:0] BUS_ADDR_BANK_IN_X		= 3'd1;
   localparam [2:0] BUS_ADDR_BANK_IN_Y		= 3'd2;
   localparam [2:0] BUS_ADDR_BANK_OUT_X	= 3'd3;
   localparam [2:0] BUS_ADDR_BANK_OUT_Y	= 3'd4;

   wire [2:0] 	      bus_addr_upper = bus_addr[6:4];
   wire [3:0] 	      bus_addr_lower = bus_addr[3:0];


   //
   // Memories
   //

   wire [31:0] 	      user_rw_k_bram_out;
   wire [31:0] 	      user_rw_in_x_bram_out;
   wire [31:0] 	      user_rw_in_y_bram_out;
   wire [31:0] 	      user_ro_out_x_bram_out;
   wire [31:0] 	      user_ro_out_y_bram_out;

   wire [ 3:0] 	      core_ro_k_bram_addr;
   wire [ 3:0] 	      core_ro_in_x_bram_addr;
   wire [ 3:0] 	      core_ro_in_y_bram_addr;
   wire [ 3:0] 	      core_rw_out_x_bram_addr;
   wire [ 3:0] 	      core_rw_out_y_bram_addr;

   wire 	      core_rw_out_x_bram_wren;
   wire 	      core_rw_out_y_bram_wren;

   wire [31:0] 	      core_ro_k_bram_dout;
   wire [31:0] 	      core_ro_in_x_bram_dout;
   wire [31:0] 	      core_ro_in_y_bram_dout;
   wire [31:0] 	      core_rw_out_x_bram_din;
   wire [31:0] 	      core_rw_out_y_bram_din;

   bram_1rw_1ro_readfirst #
     (	.MEM_WIDTH(32), .MEM_ADDR_BITS(4)
	)
   bram_k
     (	.clk(clk),
	.a_addr(bus_addr_lower), .a_out(user_rw_k_bram_out), .a_wr(bus_cs && bus_we && (bus_addr_upper == BUS_ADDR_BANK_K)), .a_in(bus_data_wr),
	.b_addr(core_ro_k_bram_addr), .b_out(core_ro_k_bram_dout)
	);

   bram_1rw_1ro_readfirst #
     (	.MEM_WIDTH(32), .MEM_ADDR_BITS(4)
	)
   bram_in_x
     (	.clk(clk),
	.a_addr(bus_addr_lower), .a_out(user_rw_in_x_bram_out), .a_wr(bus_cs && bus_we && (bus_addr_upper == BUS_ADDR_BANK_IN_X)), .a_in(bus_data_wr),
	.b_addr(core_ro_in_x_bram_addr), .b_out(core_ro_in_x_bram_dout)
	);

   bram_1rw_1ro_readfirst #
     (	.MEM_WIDTH(32), .MEM_ADDR_BITS(4)
	)
   bram_in_y
     (	.clk(clk),
	.a_addr(bus_addr_lower), .a_out(user_rw_in_y_bram_out), .a_wr(bus_cs && bus_we && (bus_addr_upper == BUS_ADDR_BANK_IN_Y)), .a_in(bus_data_wr),
	.b_addr(core_ro_in_y_bram_addr), .b_out(core_ro_in_y_bram_dout)
	);

   bram_1rw_1ro_readfirst #
     (	.MEM_WIDTH(32), .MEM_ADDR_BITS(4)
	)
   bram_out_x
     (	.clk(clk),
	.a_addr(core_rw_out_x_bram_addr), .a_out(), .a_wr(core_rw_out_x_bram_wren), .a_in(core_rw_out_x_bram_din),
	.b_addr(bus_addr_lower),      .b_out(user_ro_out_x_bram_out)
	);

   bram_1rw_1ro_readfirst #
     (	.MEM_WIDTH(32), .MEM_ADDR_BITS(4)
	)
   bram_out_y
     (	.clk(clk),
	.a_addr(core_rw_out_y_bram_addr), .a_out(), .a_wr(core_rw_out_y_bram_wren), .a_in(core_rw_out_y_bram_din),
	.b_addr(bus_addr_lower),      .b_out(user_ro_out_y_bram_out)
	);


   //
   // Curve Point Multiplier
   //
   reg 		      next_dly;

   always @(posedge clk) next_dly <= next;

   wire 	      next_trig = next && !next_dly;

   point_mul_384 point_multiplier_p384
     (
      .clk		(clk),
      .rst_n	(rst_n),

      .ena		(next_trig),
      .rdy		(valid),

      .k_addr	(core_ro_k_bram_addr),
      .qx_addr	(core_ro_in_x_bram_addr),
      .qy_addr	(core_ro_in_y_bram_addr),
      .rx_addr	(core_rw_out_x_bram_addr),
      .ry_addr	(core_rw_out_y_bram_addr),

      .rx_wren	(core_rw_out_x_bram_wren),
      .ry_wren	(core_rw_out_y_bram_wren),

      .k_din	(core_ro_k_bram_dout),
      .qx_din	(core_ro_in_x_bram_dout),
      .qy_din	(core_ro_in_y_bram_dout),
      .rx_dout	(core_rw_out_x_bram_din),
      .ry_dout	(core_rw_out_y_bram_din)
      );

   //
   // Output Selector
   //
   reg [2:0] 	      bus_addr_upper_prev;
   always @(posedge clk) bus_addr_upper_prev = bus_addr_upper;

   reg [31: 0] 	      bus_data_rd_mux;
   assign bus_data_rd = bus_data_rd_mux;

   always @(*)
     //
     case (bus_addr_upper_prev)
       //
       BUS_ADDR_BANK_K: bus_data_rd_mux = user_rw_k_bram_out;
       BUS_ADDR_BANK_IN_X: bus_data_rd_mux = user_rw_in_x_bram_out;
       BUS_ADDR_BANK_IN_Y: bus_data_rd_mux = user_rw_in_y_bram_out;
       BUS_ADDR_BANK_OUT_X: bus_data_rd_mux = user_ro_out_x_bram_out;
       BUS_ADDR_BANK_OUT_Y: bus_data_rd_mux = user_ro_out_y_bram_out;
       //
       default:         bus_data_rd_mux = {32{1'b0}};
       //
     endcase

endmodule