From 0b4b42da734c1164b65a334351274f946b2d4dcb Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Thu, 3 Oct 2019 16:38:18 +0300 Subject: Redesigned storage modules, added top-level module, added I/O storage space. --- rtl/_modexpng_recombinator_block.v | 1225 ------------------------------------ 1 file changed, 1225 deletions(-) delete mode 100644 rtl/_modexpng_recombinator_block.v (limited to 'rtl/_modexpng_recombinator_block.v') diff --git a/rtl/_modexpng_recombinator_block.v b/rtl/_modexpng_recombinator_block.v deleted file mode 100644 index 61bf734..0000000 --- a/rtl/_modexpng_recombinator_block.v +++ /dev/null @@ -1,1225 +0,0 @@ -module modexpng_recombinator_block -( - clk, rst, - ena, rdy, - mmm_fsm_state_next, - word_index_last, - dsp_xy_ce_p, - dsp_x_p, dsp_y_p, - col_index, col_index_last, - rd_narrow_xy_addr, rd_narrow_xy_bank, - rcmb_wide_xy_bank, rcmb_wide_xy_addr, rcmb_wide_x_dout, rcmb_wide_y_dout, rcmb_wide_xy_valid, - rcmb_narrow_xy_bank, rcmb_narrow_xy_addr, rcmb_narrow_x_dout, rcmb_narrow_y_dout, rcmb_narrow_xy_valid, - rdct_narrow_xy_bank, rdct_narrow_xy_addr, rdct_narrow_x_dout, rdct_narrow_y_dout, rdct_narrow_xy_valid -); - - - // - // Headers - // - `include "../rtl/modexpng_parameters.vh" - `include "../rtl/modexpng_mmm_fsm.vh" - - - input clk; - input rst; - input ena; - output rdy; - input [ MMM_FSM_STATE_W -1:0] mmm_fsm_state_next; - input [ OP_ADDR_W -1:0] word_index_last; - input dsp_xy_ce_p; - input [(NUM_MULTS+1) * MAC_W -1:0] dsp_x_p; - input [(NUM_MULTS+1) * MAC_W -1:0] dsp_y_p; - input [ COL_INDEX_W -1:0] col_index; - input [ COL_INDEX_W -1:0] col_index_last; - - input [ BANK_ADDR_W -1:0] rd_narrow_xy_bank; - input [ OP_ADDR_W -1:0] rd_narrow_xy_addr; - - output [ BANK_ADDR_W -1:0] rcmb_wide_xy_bank; - output [ OP_ADDR_W -1:0] rcmb_wide_xy_addr; - output [ WORD_EXT_W -1:0] rcmb_wide_x_dout; - output [ WORD_EXT_W -1:0] rcmb_wide_y_dout; - output rcmb_wide_xy_valid; - - output [ BANK_ADDR_W -1:0] rcmb_narrow_xy_bank; - output [ OP_ADDR_W -1:0] rcmb_narrow_xy_addr; - output [ WORD_EXT_W -1:0] rcmb_narrow_x_dout; - output [ WORD_EXT_W -1:0] rcmb_narrow_y_dout; - output rcmb_narrow_xy_valid; - - output [ BANK_ADDR_W -1:0] rdct_narrow_xy_bank; - output [ OP_ADDR_W -1:0] rdct_narrow_xy_addr; - output [ WORD_EXT_W -1:0] rdct_narrow_x_dout; - output [ WORD_EXT_W -1:0] rdct_narrow_y_dout; - output rdct_narrow_xy_valid; - - - // - // Latches - // - reg [MAC_W-1:0] dsp_x_p_latch[0:NUM_MULTS]; - reg [MAC_W-1:0] dsp_y_p_latch[0:NUM_MULTS]; - - - // - // Mapping - // - wire [MAC_W-1:0] dsp_x_p_split[0:NUM_MULTS]; - wire [MAC_W-1:0] dsp_y_p_split[0:NUM_MULTS]; - - genvar z; - generate for (z=0; z