From b985d4516f1e739be0ea0dabb66da0bbeb5c8f86 Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Mon, 20 Jan 2020 23:44:15 +0300 Subject: * DSP slices now have two use modes: MULT and ADD/SUB * cosmetic rename of Verilog include file --- rtl/modexpng_dsp_slice_primitive.vh | 41 ---------------------------------- rtl/modexpng_dsp_slice_primitives.vh | 43 ++++++++++++++++++++++++++++++++++++ 2 files changed, 43 insertions(+), 41 deletions(-) delete mode 100644 rtl/modexpng_dsp_slice_primitive.vh create mode 100644 rtl/modexpng_dsp_slice_primitives.vh diff --git a/rtl/modexpng_dsp_slice_primitive.vh b/rtl/modexpng_dsp_slice_primitive.vh deleted file mode 100644 index 20a0b8f..0000000 --- a/rtl/modexpng_dsp_slice_primitive.vh +++ /dev/null @@ -1,41 +0,0 @@ -//====================================================================== -// -// Copyright (c) 2019, NORDUnet A/S All rights reserved. -// -// Redistribution and use in source and binary forms, with or without -// modification, are permitted provided that the following conditions -// are met: -// - Redistributions of source code must retain the above copyright -// notice, this list of conditions and the following disclaimer. -// -// - Redistributions in binary form must reproduce the above copyright -// notice, this list of conditions and the following disclaimer in the -// documentation and/or other materials provided with the distribution. -// -// - Neither the name of the NORDUnet nor the names of its contributors may -// be used to endorse or promote products derived from this software -// without specific prior written permission. -// -// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED -// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -// -//====================================================================== - -`ifndef MODEXPNG_ENABLE_DEBUG - -`define MODEXPNG_DSP_SLICE modexpng_dsp_slice_wrapper_xilinx - -`else - -`define MODEXPNG_DSP_SLICE modexpng_dsp_slice_wrapper_generic - -`endif diff --git a/rtl/modexpng_dsp_slice_primitives.vh b/rtl/modexpng_dsp_slice_primitives.vh new file mode 100644 index 0000000..be20e9e --- /dev/null +++ b/rtl/modexpng_dsp_slice_primitives.vh @@ -0,0 +1,43 @@ +//====================================================================== +// +// Copyright (c) 2019, NORDUnet A/S All rights reserved. +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions +// are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in the +// documentation and/or other materials provided with the distribution. +// +// - Neither the name of the NORDUnet nor the names of its contributors may +// be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS +// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED +// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT +// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED +// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR +// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF +// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING +// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +//====================================================================== + +`ifndef MODEXPNG_ENABLE_DEBUG + +`define MODEXPNG_DSP_SLICE_MULT modexpng_dsp_slice_mult_wrapper_xilinx +`define MODEXPNG_DSP_SLICE_ADDSUB modexpng_dsp_slice_addsub_wrapper_xilinx + +`else + +`define MODEXPNG_DSP_SLICE_MULT modexpng_dsp_slice_mult_wrapper_generic +`define MODEXPNG_DSP_SLICE_ADDSUB modexpng_dsp_slice_addsub_wrapper_generic + +`endif -- cgit v1.2.3