From f96ad01980fc4d0ed40f6ffb0fbb7c2006421c18 Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Sun, 6 Aug 2017 21:46:35 +0300 Subject: * Moved systolic processing element array into a separate module. * Finished top-level wrapper module. --- src/tb/tb_exponentiator.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'src/tb/tb_exponentiator.v') diff --git a/src/tb/tb_exponentiator.v b/src/tb/tb_exponentiator.v index c9a9f7e..16be0a5 100644 --- a/src/tb/tb_exponentiator.v +++ b/src/tb/tb_exponentiator.v @@ -160,7 +160,7 @@ module tb_exponentiator; modexpa7_exponentiator # ( .OPERAND_ADDR_WIDTH (4), // 32 * (2**4) = 512-bit operands - .SYSTOLIC_ARRAY_POWER (2) // 2 ** 2 = 4-tap systolic array + .SYSTOLIC_ARRAY_POWER (3) // 2 ** 2 = 4-tap systolic array ) uut ( @@ -207,7 +207,7 @@ module tb_exponentiator; rst_n = 1'b1; #100; - //test_exponent_384(M_384, D_384, FACTOR_384, N_384, N_COEFF_384, S_384); + test_exponent_384(M_384, D_384, FACTOR_384, N_384, N_COEFF_384, S_384); test_exponent_512(M_512, D_512, FACTOR_512, N_512, N_COEFF_512, S_512); end -- cgit v1.2.3