aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_factor.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_factor.v')
-rw-r--r--src/tb/tb_factor.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/tb/tb_factor.v b/src/tb/tb_factor.v
index b53f7d8..d0e92dc 100644
--- a/src/tb/tb_factor.v
+++ b/src/tb/tb_factor.v
@@ -258,10 +258,10 @@ module tb_factor;
tb_n_wren = 1; // start filling memories
n_shreg = n; // preload shift register
//
- for (w=0; w<NUM_WORDS_512; w=w+1) begin // write all words
+ for (w=0; w<NUM_WORDS_384; w=w+1) begin // write all words
tb_n_addr = w[3:0]; // set address
tb_n_data = n_shreg[31:0]; // set data
- n_shreg = {{32{1'bX}}, n_shreg[511:32]}; // update shift register
+ n_shreg = {{32{1'bX}}, n_shreg[383:32]}; // update shift register
#10; // wait for 1 clock tick
end
//