aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_systolic_multiplier.v
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2017-08-06 21:46:35 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2017-08-06 21:46:35 +0300
commitf96ad01980fc4d0ed40f6ffb0fbb7c2006421c18 (patch)
treee9fed452b5f0c9ccbadfd6e9c536b999b3b096f2 /src/tb/tb_systolic_multiplier.v
parent9f77c4f559daf20e8b495e26003178c57da93fe2 (diff)
* Moved systolic processing element array into a separate module.
* Finished top-level wrapper module.
Diffstat (limited to 'src/tb/tb_systolic_multiplier.v')
-rw-r--r--src/tb/tb_systolic_multiplier.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/tb/tb_systolic_multiplier.v b/src/tb/tb_systolic_multiplier.v
index e9d532e..96e76d5 100644
--- a/src/tb/tb_systolic_multiplier.v
+++ b/src/tb/tb_systolic_multiplier.v
@@ -57,7 +57,7 @@ module tb_systolic_multiplier;
//
// Model Settings
//
- localparam NUM_ROUNDS = 43;
+ localparam NUM_ROUNDS = 1000;
//
@@ -193,7 +193,7 @@ module tb_systolic_multiplier;
#100;
test_systolic_multiplier_384(M_384, N_384, N_COEFF_384, FACTOR_384, COEFF_384);
- //test_systolic_multiplier_512(M_512, N_512, N_COEFF_512, FACTOR_512, COEFF_512);
+ test_systolic_multiplier_512(M_512, N_512, N_COEFF_512, FACTOR_512, COEFF_512);
end