From 906b9f1a5dbe37be9ce09c54a93095c41baab42a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Tue, 23 Jun 2015 10:00:57 +0200 Subject: Adding module parameters for generic operand size to modexp_core and top level localparams with explanation in modexp.v to control the core instantiation. --- src/rtl/modexp_core.v | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) (limited to 'src/rtl/modexp_core.v') diff --git a/src/rtl/modexp_core.v b/src/rtl/modexp_core.v index b8c4403..aa8a758 100644 --- a/src/rtl/modexp_core.v +++ b/src/rtl/modexp_core.v @@ -54,7 +54,8 @@ // //====================================================================== -module modexp_core( +module modexp_core #(parameter OPW = 32, parameter ADW = 8) + ( input wire clk, input wire reset_n, -- cgit v1.2.3