From e6af58cb2586c0d7517d0587780174d3aab528e4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Wed, 24 Jun 2015 16:49:27 +0200 Subject: Small improvement in report of test result. --- src/tb/tb_montprod.v | 13 ++++++++----- 1 file changed, 8 insertions(+), 5 deletions(-) diff --git a/src/tb/tb_montprod.v b/src/tb/tb_montprod.v index a162326..c98e8a2 100644 --- a/src/tb/tb_montprod.v +++ b/src/tb/tb_montprod.v @@ -210,10 +210,10 @@ module tb_montprod(); begin : s_monitor if (SHOW_S_MONITOR) begin - $display("S[ 0 ]: %x", dut.s_mem.mem[0] ); + $display("S[0x00]: 0x%08x", dut.s_mem.mem[0]); if (dut.s_mem_we_reg) - $display("Write to S[0x%02x]: 0x%08x", dut.s_mem_wr_addr_reg, dut.s_mem_new); + $display("Write to S[0x%02x]: 0x%08x", dut.s_mem_write_addr, dut.s_mem_write_data); end end @@ -401,10 +401,13 @@ module tb_montprod(); end test_mont_prod_success = test_mont_prod_success + success; test_mont_prod_fail = test_mont_prod_fail + fail; - end - $display("*** test stopped"); - $display(""); + if (success) + $display("*** test stopped, test successful."); + else + $display("*** test stopped, test failed."); + $display(""); + end end endtask // test_mont_prod -- cgit v1.2.3