aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_residue.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_residue.v')
-rw-r--r--src/tb/tb_residue.v8
1 files changed, 4 insertions, 4 deletions
diff --git a/src/tb/tb_residue.v b/src/tb/tb_residue.v
index 4978bf9..4bb2922 100644
--- a/src/tb/tb_residue.v
+++ b/src/tb/tb_residue.v
@@ -203,7 +203,7 @@ task reset_dut();
begin
$display("*** Toggle reset.");
tb_reset_n = 0;
- #(4 * CLK_HALF_PERIOD);
+ #(2 * CLK_PERIOD);
tb_reset_n = 1;
end
endtask // reset_dut
@@ -242,9 +242,9 @@ task wait_ready();
integer i;
for (i=0; i<100000000; i=i+1)
if (tb_ready == 0)
- #(2 * CLK_HALF_PERIOD);
+ #(CLK_PERIOD);
else if (tb_ready === 1)
- i = 100000000000000000000;
+ i = 1000000000;
end
if (tb_ready == 0)
begin
@@ -263,7 +263,7 @@ task signal_calculate();
begin
$display("*** signal_calculate");
tb_calculate = 1;
- #(2 * CLK_HALF_PERIOD);
+ #(CLK_PERIOD);
tb_calculate = 0;
end
endtask // signal_calculate