aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/blockmem1r1w.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/rtl/blockmem1r1w.v')
-rw-r--r--src/rtl/blockmem1r1w.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/rtl/blockmem1r1w.v b/src/rtl/blockmem1r1w.v
index edff8dd..6a28cc2 100644
--- a/src/rtl/blockmem1r1w.v
+++ b/src/rtl/blockmem1r1w.v
@@ -44,7 +44,7 @@
module blockmem1r1w #(parameter OPW = 32, parameter ADW = 8)
(
- input wire clk,
+ input wire clk,
input wire [(ADW - 1) : 0] read_addr,
output wire [(OPW - 1) : 0] read_data,