aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--src/tb/tb_modexp.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/tb/tb_modexp.v b/src/tb/tb_modexp.v
index d250b0c..fe22662 100644
--- a/src/tb/tb_modexp.v
+++ b/src/tb/tb_modexp.v
@@ -870,7 +870,7 @@ module tb_modexp();
write_word({GENERAL_PREFIX, ADDR_RESULT_PTR_RST}, 32'h00000000);
read_word({GENERAL_PREFIX, ADDR_RESULT_DATA}); read_data = tb_read_data; success = success & assertEquals(32'h00000000, read_data);
- read_word({GENERAL_PREFIX, ADDR_RESULT_DATA}); read_data = tb_read_data; success = success & assertEquals(32'h4132d8e17, read_data);
+ read_word({GENERAL_PREFIX, ADDR_RESULT_DATA}); read_data = tb_read_data; success = success & assertEquals(32'h132d8e17, read_data);
read_word({GENERAL_PREFIX, ADDR_RESULT_DATA}); read_data = tb_read_data; success = success & assertEquals(32'hdd4d85a4, read_data);
if (success !== 1)
@@ -1398,8 +1398,8 @@ module tb_modexp();
// tc1();
// tc2();
// tc3();
-// autogenerated_BASIC_33bit();
-// autogenerated_BASIC_128bit();
+ autogenerated_BASIC_33bit();
+ autogenerated_BASIC_128bit();
e65537_64bit_modulus();
// e65537_128bit_modulus();
// e65537_256bit_modulus();