aboutsummaryrefslogblamecommitdiff
path: root/src/rtl/blockmem1r1w.v
blob: 6a28cc298357fff63cc35e39b735b7ec987e34ef (plain) (tree)
1
2
3
4
5
6
7
8
9