aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/sha3_wrapper.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/rtl/sha3_wrapper.v')
-rw-r--r--src/rtl/sha3_wrapper.v40
1 files changed, 20 insertions, 20 deletions
diff --git a/src/rtl/sha3_wrapper.v b/src/rtl/sha3_wrapper.v
index 9140b08..c19f64f 100644
--- a/src/rtl/sha3_wrapper.v
+++ b/src/rtl/sha3_wrapper.v
@@ -48,8 +48,8 @@ module sha3_wrapper
// Address Decoder
//
localparam ADDR_MSB_REGS = 1'b0;
- localparam ADDR_MSB_CORE = 1'b1;
-
+ localparam ADDR_MSB_CORE = 1'b1;
+
wire [0:0] addr_msb = address[7];
wire [6:0] addr_lsb = address[6:0];
@@ -71,7 +71,7 @@ module sha3_wrapper
localparam ADDR_CONTROL = 5'h08; // {next, init}
localparam ADDR_STATUS = 5'h09; // {valid, ready}
- localparam CONTROL_INIT_BIT = 0;
+ localparam CONTROL_INIT_BIT = 0;
localparam CONTROL_NEXT_BIT = 1;
// localparam STATUS_READY_BIT = 0; -- hardcoded to always read 1
@@ -86,17 +86,17 @@ module sha3_wrapper
// Registers
//
reg [ 1:0] reg_control;
- reg [ 1:0] reg_control_prev;
-
-
- //
- // Flags
- //
- wire reg_control_init_posedge =
- reg_control[CONTROL_INIT_BIT] & ~reg_control_prev[CONTROL_INIT_BIT];
+ reg [ 1:0] reg_control_prev;
+
+
+ //
+ // Flags
+ //
+ wire reg_control_init_posedge =
+ reg_control[CONTROL_INIT_BIT] & ~reg_control_prev[CONTROL_INIT_BIT];
- wire reg_control_next_posedge =
- reg_control[CONTROL_NEXT_BIT] & ~reg_control_prev[CONTROL_NEXT_BIT];
+ wire reg_control_next_posedge =
+ reg_control[CONTROL_NEXT_BIT] & ~reg_control_prev[CONTROL_NEXT_BIT];
//
@@ -109,11 +109,11 @@ module sha3_wrapper
// SHA-3
//
sha3 sha3_inst
- (
+ (
.clk (clk),
- .nreset (rst_n),
+ .nreset (rst_n),
- .init (reg_control_init_posedge),
+ .init (reg_control_init_posedge),
.next (reg_control_next_posedge),
.ready (reg_status_valid),
@@ -122,7 +122,7 @@ module sha3_wrapper
.addr (addr_lsb),
.din (write_data),
.dout (read_data_core)
- );
+ );
//
@@ -136,8 +136,8 @@ module sha3_wrapper
//
always @(posedge clk)
//
- if (!rst_n) reg_control_prev <= 2'b00;
- else reg_control_prev <= reg_control;
+ if (!rst_n) reg_control_prev <= 2'b00;
+ else reg_control_prev <= reg_control;
//
@@ -188,7 +188,7 @@ module sha3_wrapper
reg addr_msb_last;
always @(posedge clk) addr_msb_last <= addr_msb;
- assign read_data = (addr_msb_last == ADDR_MSB_REGS) ? tmp_read_data : read_data_core;
+ assign read_data = (addr_msb_last == ADDR_MSB_REGS) ? tmp_read_data : read_data_core;
endmodule