uart ==== A Universal asynchronous receiver/transmitter (UART) implemented in Verilog. This UART used to be in coretest, but has been moved out as a separate project.