From 590b598f6d6ae7219027cff3d59d6736863852ea Mon Sep 17 00:00:00 2001 From: Paul Selkirk Date: Tue, 18 Nov 2014 15:41:03 -0500 Subject: i2c_device_addr as output --- src/rtl/i2c.v | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) (limited to 'src/rtl/i2c.v') diff --git a/src/rtl/i2c.v b/src/rtl/i2c.v index 112ad70..4a3bc5d 100644 --- a/src/rtl/i2c.v +++ b/src/rtl/i2c.v @@ -45,7 +45,7 @@ module i2c( input wire SCL, input wire SDA, output wire SDA_pd, - input wire [7:0] i2c_device_addr, + output wire [6:0] i2c_device_addr, // Internal receive interface. output wire rxd_syn, @@ -92,7 +92,6 @@ module i2c( wire core_SCL; wire core_SDA; wire core_SDA_pd; - wire [7:0] core_i2c_device_addr; wire core_rxd_syn; wire [7 : 0] core_rxd_data; @@ -112,7 +111,6 @@ module i2c( assign core_SCL = SCL; assign core_SDA = SDA; assign SDA_pd = core_SDA_pd; - assign core_i2c_device_addr = i2c_device_addr; assign rxd_syn = core_rxd_syn; assign rxd_data = core_rxd_data; @@ -141,7 +139,7 @@ module i2c( .SCL(core_SCL), .SDA(core_SDA), .SDA_pd(core_SDA_pd), - .i2c_device_addr(core_i2c_device_addr), + .i2c_device_addr(i2c_device_addr), // Internal receive interface. .rxd_syn(core_rxd_syn), -- cgit v1.2.3