From 6bac922a4d138363c483b55fd2027f0c660fd5e6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Tue, 16 Oct 2018 10:14:05 +0200 Subject: Adding width specification, fixed order and other nits as part of ceckning that all registers are properly reset. --- src/rtl/chacha.v | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'src/rtl/chacha.v') diff --git a/src/rtl/chacha.v b/src/rtl/chacha.v index bc891f7..8bfaba6 100644 --- a/src/rtl/chacha.v +++ b/src/rtl/chacha.v @@ -173,9 +173,9 @@ module chacha( integer i; if (!reset_n) begin - init_reg <= 0; - next_reg <= 0; - keylen_reg <= 0; + init_reg <= 1'h0; + next_reg <= 1'h0; + keylen_reg <= 1'h0; rounds_reg <= 5'h0; iv_reg[0] <= 32'h0; iv_reg[1] <= 32'h0; -- cgit v1.2.3