From ac77ca2bfe6d184c13da7ba90e8276ed0fc35765 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Mon, 21 May 2018 17:50:37 +0200 Subject: Removed the sbox word mux. Removed ports for sbox access in the encipher datapath since it now has its own sboxes. --- src/tb/tb_aes_encipher_block.v | 14 -------------- 1 file changed, 14 deletions(-) (limited to 'src/tb/tb_aes_encipher_block.v') diff --git a/src/tb/tb_aes_encipher_block.v b/src/tb/tb_aes_encipher_block.v index cc08d8e..87bab2c 100644 --- a/src/tb/tb_aes_encipher_block.v +++ b/src/tb/tb_aes_encipher_block.v @@ -74,9 +74,6 @@ module tb_aes_encipher_block(); wire [3 : 0] tb_round; wire [127 : 0] tb_round_key; - wire [31 : 0] tb_sboxw; - wire [31 : 0] tb_new_sboxw; - reg [127 : 0] tb_block; wire [127 : 0] tb_new_block; @@ -92,13 +89,6 @@ module tb_aes_encipher_block(); //---------------------------------------------------------------- // Device Under Test. //---------------------------------------------------------------- - // We need an sbox for the tests. - aes_sbox sbox( - .sboxw(tb_sboxw), - .new_sboxw(tb_new_sboxw) - ); - - // The device under test. aes_encipher_block dut( .clk(tb_clk), @@ -110,9 +100,6 @@ module tb_aes_encipher_block(); .round(tb_round), .round_key(tb_round_key), - .sboxw(tb_sboxw), - .new_sboxw(tb_new_sboxw), - .block(tb_block), .new_block(tb_new_block), .ready(tb_ready) @@ -172,7 +159,6 @@ module tb_aes_encipher_block(); $display("Internal data values"); $display("round_key = 0x%016x", dut.round_key); - $display("sboxw = 0x%08x, new_sboxw = 0x%08x", dut.sboxw, dut.new_sboxw); $display("block_w0_reg = 0x%08x, block_w1_reg = 0x%08x, block_w2_reg = 0x%08x, block_w3_reg = 0x%08x", dut.block_w0_reg, dut.block_w1_reg, dut.block_w2_reg, dut.block_w3_reg); $display(""); -- cgit v1.2.3