From c7132088ea73421677c915552a10eec204784d00 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Mon, 21 May 2018 15:55:04 +0200 Subject: Adding 16 S-boxes to the encipher datapath. --- src/rtl/aes_encipher_block.v | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) diff --git a/src/rtl/aes_encipher_block.v b/src/rtl/aes_encipher_block.v index 094653a..29a555e 100644 --- a/src/rtl/aes_encipher_block.v +++ b/src/rtl/aes_encipher_block.v @@ -196,6 +196,15 @@ module aes_encipher_block( reg [2 : 0] update_type; reg [31 : 0] muxed_sboxw; + reg [31 : 0] sboxw0; + reg [31 : 0] sboxw1; + reg [31 : 0] sboxw2; + reg [31 : 0] sboxw3; + wire [31 : 0] new_sboxw0; + wire [31 : 0] new_sboxw1; + wire [31 : 0] new_sboxw2; + wire [31 : 0] new_sboxw3; + //---------------------------------------------------------------- // Concurrent connectivity for ports etc. @@ -206,6 +215,15 @@ module aes_encipher_block( assign ready = ready_reg; + //---------------------------------------------------------------- + // Sboxes + //---------------------------------------------------------------- + aes_sbox sbox_inst0(.sboxw(sboxw0), .new_sboxw(new_sboxw0)); + aes_sbox sbox_inst1(.sboxw(sboxw1), .new_sboxw(new_sboxw1)); + aes_sbox sbox_inst2(.sboxw(sboxw2), .new_sboxw(new_sboxw2)); + aes_sbox sbox_inst3(.sboxw(sboxw3), .new_sboxw(new_sboxw3)); + + //---------------------------------------------------------------- // reg_update // -- cgit v1.2.3