From 1da09286cf7657fc23366c596ea594e4b6cc8f96 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Joachim=20Stro=CC=88mbergson?= Date: Mon, 21 May 2018 17:50:37 +0200 Subject: Removed the sbox word mux. Removed ports for sbox access in the encipher datapath since it now has its own sboxes. --- src/rtl/aes_core.v | 26 +------------------------- 1 file changed, 1 insertion(+), 25 deletions(-) (limited to 'src/rtl/aes_core.v') diff --git a/src/rtl/aes_core.v b/src/rtl/aes_core.v index 5196a1f..7c5720d 100644 --- a/src/rtl/aes_core.v +++ b/src/rtl/aes_core.v @@ -94,7 +94,6 @@ module aes_core( wire [3 : 0] enc_round_nr; wire [127 : 0] enc_new_block; wire enc_ready; - wire [31 : 0] enc_sboxw; reg dec_next; wire [3 : 0] dec_round_nr; @@ -107,7 +106,6 @@ module aes_core( wire [31 : 0] keymem_sboxw; - reg [31 : 0] muxed_sboxw; wire [31 : 0] new_sboxw; @@ -124,9 +122,6 @@ module aes_core( .round(enc_round_nr), .round_key(round_key), - .sboxw(enc_sboxw), - .new_sboxw(new_sboxw), - .block(block), .new_block(enc_new_block), .ready(enc_ready) @@ -166,7 +161,7 @@ module aes_core( ); - aes_sbox sbox_inst(.sboxw(muxed_sboxw), .new_sboxw(new_sboxw)); + aes_sbox sbox_inst(.sboxw(keymem_sboxw), .new_sboxw(new_sboxw)); //---------------------------------------------------------------- @@ -206,25 +201,6 @@ module aes_core( end // reg_update - //---------------------------------------------------------------- - // sbox_mux - // - // Controls which of the encipher datapath or the key memory - // that gets access to the sbox. - //---------------------------------------------------------------- - always @* - begin : sbox_mux - if (init_state) - begin - muxed_sboxw = keymem_sboxw; - end - else - begin - muxed_sboxw = enc_sboxw; - end - end // sbox_mux - - //---------------------------------------------------------------- // encdex_mux // -- cgit v1.2.3